Микроконтроллеры Процессоры, проекты, программирование. Схема регистра


7. Регистры

Регистр - это устройство, предназначенное для приема и хранения n-разрядного двоичного числа. Ячейкой (элементом) памяти, обеспечивающей хранение одного бита информации (одного разряда числа: 0 или 1), является триггер. Количество триггеров равняется разрядности двоичного числа. Основными типами регистров являются параллельные и последовательные (сдвигающие).

Параллельный регистр. Структура и условное обозначение параллельного регистра на динамических D–триггерах представлены на рисунке 1 (вход D4 cоответствует старшему разряду D1 – младшему). Код записываемой информации X4X3X2X1 подаетcя на инфоpмациoнные входы всех тpиггеpов, – параллельный ввод входной информации. После прихода тактового импульса на вход С выxодная информация повторит входную. Таким обpазом осуществляется паpаллельный вывод инфоpмации. Выходная информация изменится при поступлении нового двоичного кода и приходом следующего тактового импульса. Параллельные pегистры использyются в cиcтемaх опеpативной пaмяти.

Рис. 1

Рис. 2

Последовательный регистр. Структурная схема и условное обозначение последовательного регистра представлены на рисунке 2. Запись двоичного кода начинается со старшего разряда. С приходом первого тактового импульса на вход С в первый триггер регистра запишется код Х (0 или 1), наxодящийся в этoт мoмент на его информационном вxоде D, а каждый следующий тpиггер переключится в cоcтояние, в котором до этого наxодился предыдyщий. Каждый следующий тактoвый импульс будет последoвательно сдвигать двоичный код в pегистре на один pазряд. Поэтому для запиcи n-pазрядного двоичнoго кoда потpебуется n тактовых импyльсов.

На диаграмме (рис. 2,в) приведен пример записи четырехразрядного числа 1011. Вначале сигналы на выходах всех триггеров равны 0 (записан код 0000). По фронту первого тактового импульса в первый триггер регистра запишется 1 из старшего разряда числа (на выходах будет код 0001). По фронту второго импульса в первый триггер запишется 0, а 1 из первого перепишется во второй триггер. (на выходах будет код 0010). Дальнейшие изменения состояний регистра происходят аналогично изложенному выше. Очевиднo, что четырёхpазрядный двoичный код будет записан в соoтветствующие разряды pегистра (Q4=1, Q3=0, Q2=1, Q1=1) после прихода четвёртого тактового импульса. Дo приxoда следyющего пятого тактoвого импyльса это число будет храниться в регистре в виде параллельного кода на выходах Q4 - Q1. Таким образом, после четвёртого тактового импульса с выходов Q4 - Q1 можно получить информацию в виде параллельного кода – режим параллельного считывания. Если необходимо полyчить информацию в последoвательном коде, то её снимают с выхода Q4 в моменты прихода следующих четырёх импульсов (5-8). Такой режим называется режимом последовательного считывания.

В виде интегральных микросхем выпускаются универсальные регистры, позволяющие производить как последовательную, так и параллельную записи и считывание. Такие pегистры можно испoльзовать в качеcтве преобpазователя паpаллельного кода в поcледовательный и обpатно. Например, микросхема К155ИР1 - четырёх разрядный универсальный регистр (рис. 3). Регистр работает в режиме последовательной записи по тактoвым импyльсам, постyпающим на вход С1, если на входе V имеется напряжение низкого уровня. Вход D0 служит для ввoда инфоpмации в пеpвый pазряд pегистра в этом pежиме. Если на входе V напpяжение выcокого уpовня, то pегистр производит параллельнyю запись инфоpмации с входов D4 - D1 по тактовым импyльсам, постyпающим на вxoд С2.

Рис. 3

Контрольные вопросы.

studfiles.net

Регистры

3.8. Регистры

Регистр (от to register - регистрировать) - это цифровой узел, предназначенный для записи и хранения числа. Некоторые регистры могут преобразовывать информацию из последовательной формы в параллельную и наоборот. Для начала рассмотрим регистр хранения.

Регистр хранения

Структура регистра хранения на D-триггерах показана на рисунке 1.

Рис. 1 - Регистр хранения на D-триггерах

Каждый триггер служит для хранения одного разряда числа. Вход R служит для установки триггеров в нулевое состояние перед записью информации. Входное двоичное число подается на входы D0-D2 и при подаче импульса на вход С в триггеры записывается этот код, т. е. содержащаяся в нём информация. Эта информация может храниться сколь угодно долго, если на вход С не поступают импульсы (или не выключат питание). Информация может выводиться как в прямом (с прямых выходов триггеров), так и в инверсном коде (с инверсных выходов).

Регистр сдвига

Другая разновидность регистров - регистр сдвига. Регистр сдвига предназначен для преобразования информации путем ее сдвига под воздействием тактовых импульсов. Посмотрим на схему регистра сдвига на D-триггерах:

Рис. 2 - Регистр сдвига на D-триггерах

Как видно из рисунка, в регистре сдвига объединяются входы R и C триггеров. Перед записью информации регистр устанавливается в нулевое состояние. Информация подается на D-вход первого триггера. При подаче импульса на вход С бит информации (лог. 0 или лог. 1) записывается в триггер. При подаче следующего импульса этот бит записывается в следующий триггер. При этом в первый триггер записывается следующий бит информации и т. д.

Другими словами, при воздействии тактовых импульсов информация продвигается по регистру от первого триггера к последнему. При заполнении всех триггеров число в параллельном коде можно вывести с выходов Q0-Q2. При этом первый бит информации будет присутствовать на выходе Q2, второй - на выходе Q1 и т. п.

Схемы на рисунках 1 и 2 можно объединить. Если между входами и выходами триггеров поставить схемы электронных переключателей, то при воздействии управляющего сигнала регистр можно переводить из последовательного в параллельный и наоборот.

Показанный на рисунке регистр сдвигает информацию только в одну сторону. Такие регистры называют регистром со сдвигом вправо или регистр со сдвигом влево (смотря, куда сдвигает). Существуют регистры, сдвигающие информацию в обе стороны. Направление сдвига определяется управляющим сигналом, подаваемым на специальный вход (подобно реверсивным счетчикам.)

Регистр последовательных приближений

Обычно такие регистры используют в аналого-цифровых преобразователях (АЦП). Схема такого регистра довольно сложна, поэтому на рисунке обобщенно показан вариант регистра последовательных приближений как микросхемы.

Рис. 3 - Регистр последовательных приближений

RG обозначает, что это регистр. На вход D поступает информация с устройства сравнения. Запись информации производится за два такта на каждый разряд регистра. Вход С - ввод этих самых тактов.

Например, на вход D подается уровень лог. 1 и при воздействии тактового импульса в разряд, скажем, Q11 записывается эта единица. Во втором такте этот бит либо подтверждается, либо отменяется, в зависимости от состояния входа D. После этого в третий такт уровень лог. 1, если он, конечно, есть на входе D, записывается в следующий разряд - Q10, а во втором такте также, либо подтверждается, либо отменяется и так далее.

После 24 тактов на выходе регистра, а именно на выходах Q0-Q11, записанное число оказывается цифровым эквивалентом аналоговой величины, воздействующей на входе устройства сравнения. Вход Е служит для разрешения работы регистра, вход S - вход стартового запуска (обычно эти сигналы инверсные), выход D0 - выход последовательного кода, С0 - выход конца преобразования.

Помимо рассмотренных регистров существуют так называемые многоцелевые регистры или сверхоперативные запоминающие устройства. Это устройства, содержащие в себе несколько параллельных регистров и две выходные шины. Информацию из любого регистра можно вывести на одну шину, из другого регистра - на другую. При этом в любой регистр можно одновременно вести запись.

3

studfiles.net

Исследование регистров | Лаборатория Электронных Средств Обучения (ЛЭСО) СибГУТИ

Лабораторная работа выполняется с помощью учебного лабораторного стенда LESO2.

1 Цель работы

Целью работы является изучение принципа работы схем триггерных регистров и приобретение практических навыков в выполнении микроопераций на регистрах в статическом режиме.

2 Краткие теоретические сведения

Регистры предназначены для хранения и преобразования многоразрядных двоичных чисел. Для запоминания отдельных разрядов числа могут применяться триггеры различных типов. Одиночный триггер можно считать одноразрядным регистром.

Занесение информации в регистр называется операцией записи. Операция выдачи информации из регистра – считывание.

Перед записью информации в регистр, его необходимо обнулить.

Классификация регистров:

  1. по способу ввода/вывода информации:
  • параллельные (регистры хранения) – информация вводится и выводится одновременно по всем разрядам;
  • последовательные (регистры сдвига) – информация бит за битом «проталкивается» через регистр и выводится также последовательно;
  • комбинированные – параллельный ввод и последовательный вывод (и наоборот).
  1. по способу представления информации:
  • однофазные – информация представляется в прямом или обратном (инверсном) виде;
  • парафазные – информация представляется и в прямом, и в обратном виде.

2.1 Параллельный регистр

Параллельные регистры осуществляют прием и выдачу информации в параллельном коде, а это значит, что для передачи каждого разряда используется отдельная линия.

Для записи информации в регистр на его входных выводах (D0-D3) нужно установить логические уровни, после чего на вход синхронизации (C) подать разрешающий импульс — логическую единицу. После этого на выходах Q0-Q3 появится записанное слово. Регистры запоминают входные сигналы только в момент времени, определяемый сигналом синхронизации.

Рисунок 2.1 – Условно-графическое обозначение параллельного регистра   Рисунок 2.2 – Схема параллельного регистра

2.1 Последовательные регистры

Кроме параллельного соединения триггеров для построения регистров используется последовательное соединение этих элементов.

Последовательный регистр (регистр сдвига) обычно служит для преобразования последовательного кода в параллельный и наоборот. Применение последовательного кода связано с необходимостью передачи большого количества двоичной информации по ограниченному количеству соединительных линий. При параллельной передаче разрядов требуется большое количество соединительных проводников. Если двоичные разряды последовательно бит за битом передавать по одному проводнику, то можно значительно сократить размеры соединительных линий на плате (и размеры корпусов микросхем).

Принципиальная схема последовательного регистра, собранного на основе D-триггеров и позволяющего осуществить преобразование последовательного кода в параллельный, приведена на рисунке 2.3.

Рассмотрим работу этого регистра. Можно предположить, что в начале все триггеры регистра находятся в состоянии логического нуля, т.е. Q0=0, Q1=0, Q2=0, Q3=0. Если на входе D-триггера Т1 имеет место логический 0, то поступление синхроимпульсов на входы «С» триггеров не меняет их состояния.

Рисунок 2.3 – Схема последовательного регистра

Как следует из рисунка 2.3, синхроимпульсы поступают на соответствующие входы всех триггеров регистра одновременно и записывают в них то, что имеет место на их информационных входах. На информационных входах триггеров Т2, Т3, Т4 – уровни логического «0», т.к. информационные входы последующих триггеров соединены с выходами предыдущих триггеров, находящихся в состоянии логического «0», а на вход «D» первого триггера, по условию примера, подается «0» из внешнего источника информации. При подаче на вход «D» первого триггера «1», с приходом первого синхроимпульса, в этот триггер запишется «1», а в остальные триггеры – «0», т.к. к моменту поступления фронта синхроимпульса на выходе триггера Т1 ещё присутствовал логический «0». Таким образом, в триггер Т1 записывается та информация (тот бит), которая была на его входе «D в момент поступления фронта синхроимпульса и т.д.

При поступлении второго синхроимпульса логическая «1» с выхода первого триггера, запишется во второй триггер, и в результате происходит сдвиг первоначально записанной «1» с триггера Т1 в триггер Т2, из триггера Т2 в триггер Т3 и т.д. Таким образом, производится последовательный сдвиг поступающей на вход регистра информации (в последовательном коде) на один разряд вправо в каждом такте синхроимпульсов.

После поступления четырёх синхроимпульсов регистр оказывается полностью заполненным разрядами числа, вводимого через последовательный ввод «D». В течение следующих четырёх синхроимпульсов производится последовательный поразрядный вывод из регистра записанного числа, после чего регистр оказывается полностью очищенным (регистр окажется полностью очищенным только при условии подачи на его вход уровня «0» в режиме вывода записанного числа).

Рисунок 2.4 – Временные диаграммы, поясняющие работу регистра сдвига

3 Задание к работе

3.1 Исследовать параллельный регистр

Сконфигурировать ПЛИС в соответствии с рисунком 3.1.

Рисунок 3.1 – Схема 4-х битного параллельного регистра

Записать целые десятичные числа от 0 до 15 в двоичной системе счисления в регистр и считать их. Заполнить таблицу 3.1.

Таблица 3.1 – Коды, записанные в параллельный регистр

Записываемое десятичное число Считанное из регистра двоичное число
0  
1  
...  
15  

3.2 Исследовать последовательно-параллельный регистр

Сконфигурировать ПЛИС в соответствии с рисунком 3.2.

Рисунок 3.2 – Схема последовательно-параллельного регистра

Элемент 74164 – это последовательно-параллельный регистр.

ВНИМАНИЕ! Для того, что бы выполнить блок Antitinkling, прочтите инструкцию Борьба с дребезгом контактов.

Записать нечётное число в интервале от 32 до 56 в последовательном коде, поразрядно продвигая его влево путём нажатия кнопки Button. Записать результат в отчёт.

3.3 Исследовать параллельно-последовательный регистр

Сконфигурировать ПЛИС в соответствии с рисунком 3.3.

Рисунок 3.3 – Схема параллельно-последовательного регистра

Элемент 74166 представляет собой параллельно-последовательный регистр.

Чтобы записать на входе число необходимо установить на входе STLD логический 0 и подать синхроимпульс, чтобы начать считывать записанное число необходимо на вход STLD подать логическую 1 и подавать синхроимпульсы.

Записать число в интервале от 32 до 56 в параллельном коде и поразрядно считывать его на выходе. Записать результат в отчёт.

4 Содержание отчёта

  1. Цель работы.
  2. Схема исследования параллельного 4-х битного регистра с таблицей 3.1 .
  3. Схема последовательно-параллельного регистра с результатами исследований.
  4. Выводы по каждому заданию.

5 Контрольные вопросы

  1. Назначение регистров.
  2. По каким признакам классифицируются регистры?
  3. Чем определяется разрядность регистров?
  4. Назначение параллельного регистра.
  5. Объяснить принцип работы последовательного регистра.
  6. Объяснить принцип работы параллельного регистра.
  7. Объяснить принцип работы последовательно-параллельного регистра.
  8. Объяснить принцип работы параллельно-последовательного регистра.

www.labfor.ru

Регистры — Национальная библиотека им. Н. Э. Баумана

Материал из Национальной библиотеки им. Н. Э. БауманаПоследнее изменение этой страницы: 17:55, 7 января 2015.

Особенностью последовательных логических устройств является зависимость выходного сигнала не только от действующих в настоящий момент на входе логических переменных, но и от исходных значений переменных, которые действовали на входе в предыдущие моменты времени (т.е. значения переменных запоминаются логическим устройством)

Регистр - последовательное устройство, предназначенное для записи, хранения и/или сдвига информации, представленной в виде многоразрядного двоичного кода

Рис. 1. Условное обозначение регистра.

Регистр хранения

Рис. 2. Схема регистра хранения.

Состоит из четырех D {\displaystyle D\ }-триггеров (однотактовые регистры)

c≡WR {\displaystyle c\equiv \,WR\ } - вход записи

td {\displaystyle t_{d}\ } - время записи

операция записи происходит одновременно во все триггеры

Регистр сдвига

Рис. 3. Принципы сдвига влево и вправо.

Сдвиг влево - сдвиг в сторону старших разрядов. Сдвиг вправо - сдвиг в сторону младших разрядов.

Схема сдвига влево:

Рис. 4. Схема сдвига влево.

Сдвиговый регистр выдает информацию в последовательном виде (в отличие от регистров хранения, в которых информация выдается в параллельном виде)

Сдвиг - одна из самых быстрых операций, т.к. выполняется за один такт

Аналогично выглядит регистр сдвига вправо:

Рис. 5. Схема сдвига вправо.

При замыкании (DI−Q0) {\displaystyle (DI-Q_{0})\ } получим циклический сдвиговый регистр

Реверсивный сдвиговый регистр

Рис. 6. Схема реверсивного сдвигового регистра.

При SL/SR¯=1=>SL=1 {\displaystyle SL/{\bar {SR}}=1=>SL=1\ } на всех верхних конъюнкциях, а на нижних - 0 {\displaystyle 0\ } - производится запись информации, начиная с DI {\displaystyle DI\ } - алгоритм работы регистра сдвига влево

При SR¯=0=>SR=1 {\displaystyle {\bar {SR}}=0=>SR=1\ } все верхние конъюнкции равны 0 {\displaystyle 0\ }, а все нижние равны 1 {\displaystyle 1\ } - алгоритм работы регистра сдвига вправо. Таким образом, определяется алгоритм работы в зависимости от того, что подаем на SL/SR¯ {\displaystyle SL/{\bar {SR}}\ }

Время, через которое возможно переключение устройства считается следующим образом: t=td+tnot+tx2and−or=td+tnot+tand+tor{\displaystyle t=t_{d}+t_{not}+t_{x2and-or}=t_{d}+t_{not}+t_{and}+t_{or}\,\!} используется для определения максимальной частоты срабатывания устройства

В сдвиговый регистр можно записывать информацию только в последовательном режиме, поэтому требуется универсальный регистр, который мог бы обеспечивать сдвиг и хранение информации

Универсальный сдвиговый регистр (схема для i {\displaystyle i\ }-ого разряда)Универсальный сдвиговый регистр (схема для i   {\displaystyle i\ } -ого разряда)

Рис. 7. Схема i-ого разряда универсального сдвигового регистра.

При WR=0 {\displaystyle WR=0\ } нижние конъюнкции равны нулю, остальные равны единице - регистр работает в режиме сдвига.

При WR=1 {\displaystyle WR=1\ } по приходу синхронизирующего сигнала записи DI {\displaystyle DI\ } - верхние две конъюнкции неактивны (смотри временные диаграммы)

Рис. 8. Иллюстрация ошибки в приходе силналов на схему (рис. 7), приводящей к отсутствию записи в триггер.

Решение - использование универсального триггера с асинхронными входами позволяет при переходе сигнала на вход С и при WR = 1, всегда будет осуществляться запись в триггер.

Рис. 9. Схема i-ого разряда универсального сдвигового регистра (правильная).

В независимости от прихода С будет осуществляться запись в информационный канал DIi{\displaystyle DI_{i}\,\!} (при DIi=1{\displaystyle DI_{i}=1\,\!} S = 1, следовательно, будет происходить запись 1; при DIi=0{\displaystyle DI_{i}=0\,\!} R = 1, следовательно, будет происходить запись 0). Также этот универсальный сдвиговый регистр позволяет осуществлять хранение (если нет сигнала на R, S, C) и сдвиги влево и вправо.

Для получения циклического регистра можно подать сигнал с выхода последнего триггера на вход ("замкнуть").

ru.bmstu.wiki

Кольцевые регистры.

Рис. 3 Схема кольцевого регистра

Кольцевой регистр строится на основе сдвигающего путём замыкания выхода младшего разряда со входом старшего разряда. В результате, информация движется по кольцу.

Назначение кольцевого регистра.

Кольцевые регистры предназначены для создания схем распределителей импульсов, которые служат для формирования следующих друг за другом импульсных сигналов, появляющихся в различных цепях управления узлами и устройствами. (Для примера можно вспомнить обыкновенную ёлочную гирлянду).

Схема кольцевого регистра.

Схема кольцевого регистра представлена на Рис. 3

Работа схемы кольцевого регистра.

Пусть запишем в один из разрядов регистра единицу. В схеме, эта однажды введённая единица продвигается тактовыми импульсами до конца, а с выхода старшего (n-1)–го разряда через цепь обратной связи снова записывается в нулевой разряд. После этого происходит новый цикл движения указанного кода по регистру.

На основе кольцевых регистров строят рекуррентные регистры.

Реверсивный регистр сдвига.

Рассмотренные регистры сдвига осуществляли сдвиг информации (кодов чисел) в каком-либо одном направлении в сторону младших или старших разрядов. Реверсивные регистры сдвига могут выполнять сдвиг информации в обе стороны, в зависимости от информационного значения некоторого управляющего сигнала.

В реверсивном сдвигающем регистре, представленном на Рис. 4 Реверсивный сдвигающий регистр, логические связи между триггерами предусматривают возможность управления направлением сдвига записанного в регистр слова посредством управляющего сигнала, отображаемого логической переменной у. Например, при y=0 действие синхроимпульса должно приводить к сдвигу информа­ции влево, а при у=1 — вправо При отсутствии синхроимпульса информация, записанная в регистр, должна оставаться без изме­нения.

Если регистр выполняется на триггерах типа D, то для реали­зации реверсивного режима работы логический сигнал на входе триггераi-го разряда регистра определяется по формуле.

Di(t) = *Qi-1(t)+yQi+1(t).

Направление сдвига кода задает логическая схема DD1 Рассмотрим принцип действия регистра.

Рис. 4 Реверсивный сдвигающий регистр

Пусть в регистре записан код числа A=an-1…a1a0, тогда, согласно приведённой формулы, при подаче логического сигналаy=1 на входеDi-го триггера действует сигнал с выхода от предыдущего триггераDi=Qi+1. Под действием очередного синхроимпульса сигналQi+1фиксируется на выходе триггера ТT. Код сдвигается вправо;

при y= 0, согласно того же выражения,Di=Qi-1и на выходеi-го триггера фи­ксируется сигнал с выхода триггераTTi-1. Код сдвигается влево.

Рекуррентные регистры.

Назначение рекуррентного регистра.

Рекуррентные регистры служат для получения длинных кодовых комбинаций (рекуррентных последовательностей), приближающихся по своим статическим характеристикам к “белому” шуму.

Используют для кодирующих и декодирующих устройств, широкополосных систем связи, при формировании сигналов фазирования в системах передачи данных.

Устройство рекуррентного регистра.

Выход последнего (старшего) разряда регистра соединяется с входом через простейший элемент И-НЕ. С предыдущего разряда регистра также подаётся сигнал на этот элемент.

Для примера рассмотрим схему рекуррентного регистра на D-триггерах.

Схема рекуррентного регистра.

Рис. 5 Схема рекуррентного регистра

Таким образом рекуррентные регистры позволяют формировать кодовые комбинации различной длины за счёт введения цепи логической обратной связи.

Параметры последовательного регистра.

Время ввода (вывода) m-разрядного двоичного числа в регистрах сдвига равно mТсд, где Тсд —период следования тактирующих сигналов, осуществляющих ввод (вывод) информации.

studfiles.net

Назначение, схема и принцип работы регистров. Схема регистра

1. Устройство и работа схем регистров

ФЕДЕРАЛЬНОЕ АГЕНТСТВО ПО ОБРАЗОВАНИЮ

Федеральное государственное образовательное учреждение

высшего профессионального образования

«ЮЖНЫЙ ФЕДЕРАЛЬНЫЙ УНИВЕРСИТЕТ»

Лабораторная работа № ____

РЕГИСТРЫ

Доцент кафедры радиофизики Кулешов Г.И.

Ростов-на-Дону

2008

ВВЕДЕНИЕ

В цифровой технике регистрами называются устройства, объединяющие несколько идентичных триггеров. Как правило, все триггеры имеют общие цепи синхронизации и сброса (установки в нулевое состояние). Регистры выполняют функции оперативного хранения информации и ее обработки. Триггерные схемы, входящие в регистры, строятся на основе комбинационных логических схем.

Исследование работы схем регистров выполняется на специализированном лабораторном макете “ОСНОВЫ ЦИФРОВОЙ ТЕХНИКИ” с использованием сменного центрального блока “ОСНОВЫ ЦИФРОВОЙ ТЕХНИКИ”. В качестве дополнительных источников входных сигналов используются элементы левого блока, для индикации состояния исследуемых устройств используются элементы “КОНТРОЛЬ ВЫХОДА” и “СЧЕТЧИК ИМПУЛЬСОВ” правого блока лабораторного макета.

В настоящей работе для описания работы схем используется положительная логика.

ЦЕЛЬ РАБОТЫ: Изучение устройства и принципов функционирования основных типов регистров и логических схем на их основе.

Регистры относятся к последовательностным схемам и строятся на основе триггеров. Одной из распространенных задач, решаемых с помощью триггера, является хранение одного бита информации (значения 0 или 1). Специальная схема имеет название D-триггер. Она может быть получена из схемы статического синхронизируемого уровнем RS-триггера, если соединить через инвертор управляющие входы и. В этой схеме при подаче высокого уровня на вход синхронизации происходит запись бита информации со входа D, и после переключения синхросигнала в низкий уровень ее хранение.

Схема статического D-триггера с такими же функциями строится с применением четырех элементов, как показано на рис. 1. (триггер-защелка). Схема работает следующим образом. При низком уровне напряжения на входе на выходах элементовDD1 иDD2 действуют высокие уровни, иRS-триггер на элементахDD3 иDD4 находится в режиме хранения информации. При высоком уровне напряжения на входев точке схемы действует сигнал, а в точке– сигнал. На выходы передаются сигналыи. При многократном изменении сигнала соответственно изменяются выходные сигналы и , т.е во время действия высокого уровня на входесхема работает в прозрачном режиме. Этот недостаток схемы – наличие прозрачного режима – компенсируется простотой схемы, чем обеспечивается ее широкое применение для хранения данных на практике.

Рис. 1 Построение схемы статического D-триггера на четырех элементах 2И-НЕ. Схема электрическая принципиальная и условное графическое обозначение.

Разработаны и широко используются схемы D-триггеров, переключаемых по фронтам синхроимпульсов – динамическиеD-триггеры. Схема шестиэлементного динамическогоD-триггера показана на рис. 2.

а)

б)

Рис. 2. ДинамическийD-триггер. а) – Схема электрическая принципиальная. б) – Условное графическое обозначение.

Схема состоит из трех триггеров с дополнительными связями. На условном графическом обозначении вход синхронизации отмечен наклонной черточкой, это показывает, что запись информации происходит по положительному перепаду (фронту) синхроимпульса. Линия противоположного наклона на обозначениях других динамических триггеров соответствует срабатыванию по отрицательному перепаду (срезу) синхроимпульса. Схема работает следующим образом. Режиму хранения информации соответствует низкий уровень на входе синхронизации (C= 0). ТогдаX2= 1,X3= 1. Триггер на элементахDD5,DD6 находится в режиме хранения, выходные сигналыине меняются. На верхний вход элементаDD1 приходит сигналX2= 1, следовательно, на его выходе. На нижнем входе элементаDD4 действует сигналX3= 1, на его выходе. Поэтому во время действия сигналаC= 0 один из внутренних триггеров,DD1-DD2 илиDD3-DD4 , находится в “неправильном” (“запрещенном”) состоянии. ЕслиD= 0, тогдаDD1-DD2, приD= 1 – триггерDD3-DD4. После переключения сигнала синхронизации в высокий уровень “неправильное” состояние одного из внутренних триггеров исчезает (по крайней мере, до изменения сигналаD).

Переключение выходных уровней ивозможно сразу после изменения синхросигнала с низкого уровня на высокий (при прохождении положительного перепада на входC). Последовательность изменения состояний схемы зависит от уровня сигналаD.

Если в момент прихода положительного перепада синхроимпульса действует сигнал D= 0 , то сразу после установленияC= 1 схема переключается в состояниеX1= 1,X2= 0,X4= 0,X3= 1. Триггер на элементахDD5,DD6 сигналом сбросапереключается в состояниеи. ЭлементDD1 блокируется сигналомX2= 0, и до тех пор, покаC= 1, независимо от последующих изменений сигналаDсостояние выходов всех логических элементов не меняется.

При уровне D= 1 в момент прихода положительного перепада синхроимпульса сразу после установленияC= 1 схема переключается в состояниеX1= 0,X2= 1,X4= 1,X3= 0. Триггер на элементахDD5,DD6 сигналом установкипереключается в состояниеи. ЭлементыDD4 иDD2 блокируются сигналомX3= 0, и покаC= 1, независимо от последующих изменений сигналаD, состояние выходов логических элементовDD2,DD3,DD4,DD5 () иDD6 () не меняется. Сигнал (вследствие действияX2= 1) и приC= 1 может приним

xn--90adflmiialse2m.xn--p1ai

Использование сдвиговых регистров в устройствах индикации.

Использование сдвиговых регистров в устройствах индикации.

Одной из проблем, возникающих при разработке микроконтроллерных устройств часто становится необходимость экономии линий портов ввода/вывода. Многие периферийные устройства, которые могут работать в связке с процессором, требуют для передачи информации большого количества соединительных проводников. Актуальность этой задачи не снизилась даже с появлением процессоров с большим количеством выводов, так как одновременно усложнились и периферийные устройства.   Для устройств индикации, одним из вариантов снижения количества требуемых линий может стать использование регистров сдвига (Shift register).

Регистр сдвига представляет собой цепочку из нескольких, последовательно соединенных D-триггеров. На первый триггер подключается информационный выход микроконтроллера. С каждым импульсом тактового сигнала, передаваемого по отдельной линии, уровень на входе каждого из триггеров записывается на выход. В итоге происходит сдвиг сигнала от начала к концу цепочки. Если использовать подключить выходные линии после каждого из триггеров, то сдвиговый регистр будет представлять собой последовательно-параллельный преобразователь. Это значит, что для организации каких-либо индикаторов, будет минимально необходимо использовать только два вывода микроконтроллера.

В настоящее время производители предлагают большое количество моделей регистров сдвига, с различными функциональными особенностями. Далее будут рассматриваться только микросхемы с последовательным входом и параллельным выходом. Также для описываемых целей можно использовать некоторые универсальные модели регистров.

Использование регистра 74164

Регистр сдвига 

Одной из наиболее простых и распространенных микросхем, реализующих функцию регистра сдвига, считается модель 74164 (555ИР8) и ее технологические варианты. Данная микросхема представляет собой 8-ми разрядный регистр с последовательной загрузкой и параллельным выходом. Используя 74164 можно сравнительно просто получить линейный индикатор из 8-ми светодиодов или односимвольный семисегментный индикатор. При необходимости, допускается последовательное соединение нескольких микросхем, что увеличит количество выходных линий, и подключенных к ним индикаторов.

Выходной ток каждой линии современных вариантов 74ACT164 и 74HCT164 составляет 25мА, что позволяет напрямую подключать маломощные одиночные светодиоды или семисегментные индикаторы. Время цикла этих микросхем может находиться на уровне 15нС, что соответствует возможности работы на частоте 66МГц. Учитывая, что подобная или более высокая частота работы процессоров встречается редко, для формирования тактовых импульсов достаточно просто включить и выключить выход контроллера, без какой-либо задержки.Для загрузки данного регистра сдвига достаточно двух линий: DATA и CLK. Это позволяет задействовать только две линии микроконтроллера, для управления устройством индикации. При этом во многих случаях может оказаться не важно, сколько микросхем будет соединено последовательно, и соответственно сколькими индикаторами управляет контроллер.

Подключение индикатора

Вариантов использования 74164 существует множество. Можно выделить несколько из них. Первый, вышеназванный индикатор на основе нескольких светодиодов. Второй – одиночный семисегментный индикатор или линейка из них. Пример линейки индикаторов показан в статье – Термометр на микроконтроллере PIC12F629.

Программирование индикации с использование регистра сдвига также не отличается высокой сложностью. Особенно если в микроконтроллере реализована такая операция, как сдвиг байта через бит переноса. Проверкой данного бита можно определить уровень, который требуется установить на линии данных. Циклически повторяя такой сдвиг и формируя тактовые импульсы можно полностью загрузить регистр сдвига.Следующим вариантом использования может стать схема динамической индикации, когда для снижения количества используемых линий микропроцессора, параллельный вывод на отдельные сегменты, заменяется на последовательный, с использованием последовательно-параллельного преобразователя. Этот же преобразователь может использоваться в схеме включения ЖК-индикатора на базе HD44780.

Подключение HD44780 с использованием регистра Каскадное включение регистров Использование ULN2803 совместно с регистром

Использование регистров 74595 и 4094

Применение регистров сдвига позволяет строить большие схемы с использованием светодиодных индикаторов. Но в случае, если индикаторы потребляют большой ток (состоят из множества отдельных светодиодов), выходного сигнала регистра становится недостаточно. Для усиления сигнала можно применять различные схемы, состоящие из отдельных транзисторов, или сборок. Наиболее просто и выгодно в этом случае использовать микросхему ULN2803, содержащую 8 транзисторных ключей. Каждый ключ способен коммутировать ток до 500мА при напряжении до 50В, что позволяет подключать к нему до нескольких десятков отдельных светодиодов, маломощных ламп накаливания, либо сегменты крупногабаритных матричных индикаторов. Единственным отличием от вышеприведенных схем, будет использование светодиодных индикаторов с общим анодом, так как ULN2803, по сути, представляет собой ключ нижнего плеча.При всех своих достоинствах, микросхема 74164 имеет некоторые недостатки. В первую очередь к ним следует отнести непосредственное подключение выходов схемы к выходным линиям триггеров. В медленных системах светодиодной индикации, при загрузке регистра, можно наблюдать движение информации от входа к выходу в виде посторонней засветки сегментов. В случае частого обновления информации, подобная засветка вызывает несколько неприятные ощущения. Для ее устранения следует применять регистры, оснащенные выходной защелкой. Примером таких элементов служат микросхемы 74595 и 4094. Каждая из них имеет дополнительный вход стробирования SCLK. При неизменном принципе загрузки, информация на выходе этих устройств сможет появиться только после прохождения импульса по данному входу. Такое решение требует использования дополнительного вывода микроконтроллера, но позволяет строить индикаторы с большим количеством сегментов, без появления различных неприятных эффектов. Особенно полезно использование регистров, оснащенных защелками совместно с микроконтроллерами, работающими на пониженных частотах или от внутренних генераторов.  

Применение регистров сдвига несколько усложняет схему готового устройства, но позволяет использовать минимум выходов микроконтроллера и имеет множество других достоинств. Помимо прочего использование вышеприведенных решений позволяет упростить программирование и создавать без больших затрат многоразрядные индикаторы.

You have no rights to post comments

mcucpu.ru


Видеоматериалы

24.10.2018

Опыт пилотных регионов, где соцнормы на электроэнергию уже введены, показывает: граждане платить стали меньше

Подробнее...
23.10.2018

Соответствует ли вода и воздух установленным нормативам?

Подробнее...
22.10.2018

С начала года из ветхого и аварийного жилья в республике были переселены десятки семей

Подробнее...
22.10.2018

Столичный Водоканал готовится к зиме

Подробнее...
17.10.2018

Более 10-ти миллионов рублей направлено на капитальный ремонт многоквартирных домов в Лескенском районе

Подробнее...

Актуальные темы

13.05.2018

Формирование энергосберегающего поведения граждан

 

Подробнее...
29.03.2018

ОТЧЕТ о деятельности министерства энергетики, ЖКХ и тарифной политики Кабардино-Балкарской Республики в сфере государственного регулирования и контроля цен и тарифов в 2012 году и об основных задачах на 2013 год

Подробнее...
13.03.2018

Предложения организаций, осуществляющих регулируемую деятельность о размере подлежащих государственному регулированию цен (тарифов) на 2013 год

Подробнее...
11.03.2018

НАУЧИМСЯ ЭКОНОМИТЬ В БЫТУ

 
Подробнее...

inetpriem


<< < Ноябрь 2013 > >>
Пн Вт Ср Чт Пт Сб Вс
        1 2 3
4 5 6 7 8 9 10
11 12 13 14 15 16 17
18 19 20 21 22 23 24
25 26 27 28 29 30  

calc

banner-calc

.